EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)


    实验1 4选1数选择器设计
    实验目
    1.学EDA软件基操作
    2.学原理图进行设计输入
    3.初步掌握器件设计输入编译仿真编程程
    4.学实验开发系统方法
    二实验仪器器材
    1.EDA开发软件 套
    2.微机 台
    3.实验开发系统 台
    4.印机 台
    三实验说明
    实验通基门电路完成4选1数选择器设计初步掌握EDA设计方法中设计输入编译综合仿真编程程实验结果通实验开发系统验证实验开发系统选择高低电开关作输入选择发光二极显示输出电值
    实验Quartus II 软件作设计工具求熟悉Quartus II 软件环境基操作设计输入编译适配程等
    实验中设计文件求原理图方法输入实验时注意原理图编辑器方法例元件连线网络名放置方法放缩存盘退出等命令学会脚锁定编程载方法等
    四实验求
    1.完成4选1数选择器原理图输入进行编译
    2.设计电路进行仿真验证
    3.编程载实验开发系统验证设计结果
    五实验结果
    4选1数选择器原理图:

    仿真波形图:

    脚分配:























    实验2 四位较器

    实验目
    1.设计四位二进制码较器实验开发系统验证
    2.学层次化设计方法
    二实验仪器器材
    1.EDA开发软件 套
    2.微机 台
    3.实验开发系统 台
    4.印机 台
    5.器件材料 干
    三实验说明
    实验实现两4位二进制码较器输入两4位二进制码输出M(AB)G(A>B)L(A
    四实验求
    G




    COMP4
    1.硬件描述语言编写四位二进制码

    较器源文件
    M

    2.设计进行仿真验证

    3.编程载实验开发系统进行

    硬件验证
    L






    四位较器功框图
    五实验结果
    四位较器VHDL源文件:

    library ieee
    use ieeestd_logic_1164all
    entity comp4 is
    port( A3A2A1A0 in std_logic
    B3B2B1B0 in std_logic
    GML out std_logic)
    end comp4
    architecture behave of comp4 is
    begin
    p1 process(A3A2A1A0B3B2B1B0)
    variable comb1comb2 std_logic_vector(3 downto 0)
    begin
    comb1A3&A2&A1&A0
    comb2B3&B2&B1&B0
    if(comb1>com2) then G<’1’ M<’0’ L<’0’
    elsif(comb1 else L<’1’ G<’0’ M<’0’
    end if
    end process p1
    end behave
    仿真波形图:


    脚分配:














    试验3 行加法器设计

    试验目
    1设计4位加法器
    2体会VHDL进行逻辑描述优点
    3熟悉层次化设计方法
    二试验仪器器材
    1EDA开发软件 套
    2微机 台
    3试验开发系统 台
    4印机 台
    5器材材料 干
    三试验说明

    a3
    试验实现4位二进制数加法器功框图图示试验时高低电开关作输入数码作输出(发光二极)脚锁定根试验系统行安排




    adder4

    a2
    a1
    a0
    b3
    b2
    b1
    b0
    ci
    s3
    s2
    s1
    s0
    co
    全加器功框图

    四实验求
    1.硬件描述语言编写4位二进制数全加器源文件
    2.设计文件进行编译
    3.仿真设计文件
    4.编程载进行试验验证
    五试验结果
    4位二进制全加器源文件:
    library ieee
    use ieeestd_logic_1164all
    entity adder4 is
    port(ab in std_logic_vector(3 downto 0)
    cin in std_logic_vector(3 downto 0)
    sum out std_logic_vector(3 downto 0)
    count out std_logic)
    end adder4
    architecture behavioral of adder4 is
    begin
    p1process(abcin)
    variable vsum std_logic_vector(3 downto 0)
    variable carry std_logic
    begin
    carrycin
    for i in 0 to 3 loop
    vsum(i)(a(i) xor b(i)) xor carry
    carry(a(i) and b(i)) or (carry and (a(i) or b(i)))
    end loop
    sumcount end process p1
    end behavioral
    仿真波形图:

    脚分配:

    实验4 计数器设计

    实验目
    计数器实际中常时序电路模块实验目掌握HDL描述计数器类型模块基方法
    二实验仪器器材
    1.EDA开发软件 套
    2.微机 台
    3.实验开发系统 台
    4.印机 台
    5.器材材料 干
    三实验说明
    计数器数字电路系统中重功模块设计时采原理图HDL语言完成载验证时计数时钟选连续单脉数码显示计数值
    四实验求
    1.设计带计数允许输入端复位输入端进位输入端十进制计数器
    2.编制仿真测试文件进行功仿真
    3.载验证计数器功
    4.述设计建立元件符号
    5.述基础分设计8421BCD码二进制计数100进制步计数器
    五实验结果
    十进制计数器程序:
    library ieee
    use ieeestd_logic_1164all
    use ieeestd_logic_unsignedall
    entity counter10 is
    port(enresetclkin std_logic
    qbuffer std_logic_vector(3 downto 0)
    coout std_logic)
    end counter10
    architecture behav of counter10 is
    begin
    process(clken)
    begin
    if clk'event and clk'1' then
    if reset'1' then q<0000
    elsif en'1' then
    if q<1001 then q else q<0000
    end if
    end if
    end if
    end process
    co<'1' when q1001 else '0'
    end behav
    仿真波形图:

    脚分配:

    4_7译码器程序:
    library ieee
    use ieeestd_logic_1164all
    entity decoder4_7 is
    port( insign in std_logic_vector (3 downto 0)
    outsign out std_logic_vector (6 downto 0))
    end decoder4_7
    architecture behave of decoder4_7 is
    begin
    process(insign)
    begin
    case insign is
    when0000>outsign<0000001
    when 0001>outsign<1001111
    when 0010>outsign<0010010
    when 0011>outsign<0000110
    when 0100>outsign<1001100
    when 0101>outsign<0100100
    when 0110>outsign<1100000
    when 0111>outsign<0001111
    when 1000>outsign<0000000
    when 1001>outsign<0001100
    when OTHERS>outsign<1111111
    end case
    end process
    end behave
    100进制计数器原理图:


    仿真波形图:

    脚分配:







    实验5 巴克码发生器

    实验目
    1.实现通信领域中常巴克码发生器
    2.掌握规模编程逻辑器件实现时序电路方法
    二实验仪器器材
    1.EDA开发软件 套
    2.微机 台
    3.实验开发系统 台
    4.印机 台
    5.器件材料 干
    三实验说明
    巴克码发生器数通信雷达遥控领域相广泛应动产生周期性序列码实验求产生序列码信号(1110010)寄存器步时序电路实现够通实验开发系统验证试验结果两输入端中输出端时输出巴克码输出端输出节拍巴克码发生器功框图图示
    四实验求
    1.写出全部设计文件
    2.编写测试量进行功仿真
    3.载实验板验证
    五实验结果
    巴克码发生器程序:
    library ieee
    use ieeestd_logic_1164all
    use ieeestd_logic_arithall
    use ieeestd_logic_unsignedall
    entity back is
    port(clkresetin std_logic
    dout1dout2out std_logic)
    end back
    architecture behave of back is
    signal count7integer range 0 to 6
    begin
    process(clkreset)
    begin
    if reset'1' then count7<0
    elsif clk'event and clk'1' then
    if count7<6 then
    count7 else count7<0
    end if
    end if
    dout2 end process
    process(count7)
    begin
    case count7 is
    when 0>dout1<'1'
    when 1>dout1<'1'
    when 2>dout1<'1'
    when 3>dout1<'0'
    when 4>dout1<'0'
    when 5>dout1<'1'
    when 6>dout1<'0'
    when others>dout1<'0'
    end case
    end process
    end behave
    仿真波形图:


    脚分配:

    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 2 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    EDA实验报告实验三、序列检测器的设计

    实验三、序列检测器的设计一、预习内容1、预习序列发生器和检测器的基本工作原理;2、画出实验原理草图;3、写出实验的基本步骤和源程序。二、实验目的1、掌握序列检测器的工作原理;2、学会用状态机进...

    8个月前   
    259    0

    60进制计数器课程设计

    60进制计数器课程设计姓 名: ∧∧∧∧ 学 号:\\\\\\\\\\\\\\ 班 级: 应电班 实训地点: 指导教师...

    2年前   
    443    0

    传送带产品计数器的设计(LCD显示)

    传送带产品计数器的设计(LCD显示)目录摘要 21 题目 32 电路原理图的设计 32.1 传送带产品计数器(LED显示)电路原理图 32.2 LED显示模块 32.3 置数模块 43 ...

    4年前   
    838    0

    多功能信号发生器的设计与实现

    本文是制作以STM32芯片为核心的多功能信号发生器。选择使用STM32系列单片机作为多功能信号发生器的主控模块,控制整个系统的软硬件操作,实现正弦波、方波、三角波等波形的合成。

    3年前   
    837    0

    60进制计数器课程设计

    60进制计数器课程设计60进制计数器设计 2绪论 31.1设计背景 31.2设计思想 32器件介绍 42.1电阻 42.2电容 52.3 555秒发生器 52.4 74ls00 72.574l...

    1年前   
    299    0

    兄弟DCP1608计数器清零方法

    计数器清零方法计数器没有清零。第一步:1、按”Menu 功能“ 2、上下按键找到<4设备信息>3、按”Ok“键。第二步:1、按上下按键找到“6 重置硒鼓”2、按住“Ok”键不放。第三步:1、屏...

    4年前   
    3578    0

    流量计数器毕业设计

    本次设计的流量计数器的目是在自动化生产过程中能精确分装物料,避免因人为疏忽原因致使物料出现漏装或多装的现象,由此引入了流量计数器。流量计数器采用了红外传感器系统,利用红外光的关断原理进行计数。...

    4年前   
    769    0

    构建“四位一体”机制

    构建“四位一体”机制  激发农村发展活力     近年来,农民的民主意识和参与能力大幅提升,因村务决策不民主、管理无规章、财务不公开等引发的村民上访事件时有发生,而一些村干部即便是真心想...

    5年前   
    1914    0

    卷积码实验报告

     XX大学XX学院电子与信息工程学院信道编码课程设计报告 课设名称 卷积码编译及译码仿真 学生姓名 ...

    3年前   
    1016    0

    交通灯控制系统EDA实验报告

    交通灯控制系统EDA实验报告2014姓名: 学号:一、 课题名称用状态机设计的交通信号控制系统。二、 实验目的利用所学习的EDA相关知识,完成对交通灯控制系统的设计并实现,提高对所学知识的理解...

    4年前   
    1159    0

    动态系统建模(四旋翼飞行器仿真)实验报告

     动态系统建模(四旋翼飞行器仿真)实验报告 院(系)名称大飞机班 学号 学生姓名 任课教师...

    3年前   
    976    0

    新员工甄选比较表

    新员工甄选比较表甄试职位应征人数初选合格面试日期月 日至 月 日甄选结果姓 名学历年龄工作经验专业知识态度仪表语言能力面试人员意见相关合计面试人员 签章 本文档由香当网(https://w

    10年前   
    657    0

    新员工甄选比较表

    新员工甄选比较表 甄试职位 应征人数 初选合格 面试日期 月 日至 月 日 甄选 结果 姓 名 学历 年龄 工作经验 专业知识 态度仪表 语言...

    9年前   
    16878    0

    FPGA课程设计基于RAM的十口8位计数器

    FPGA课程设计报告题 目: 基于RAM的十口8位计数器 院 系: 通信与信息工程学院...

    3年前   
    467    0

    60进制计数器设计数字电子技术基础

    《数字电子技术基础》课程设计任务书专 业: 电气工程及其自动化 班 级: 专升本班 学 号: 姓 ...

    1年前   
    454    0

    MSI组合逻辑电路与任意进制计数器设计

    1.设计课题一:设计一个用三个键钮的保密锁。设计要求:保密锁上有三个键钮A、B、C。要求当三个键钮同时按下,或A、B两个同时按下,或A、B中任一个单独按下时,锁就能被打开(用F表示开锁信号);而...

    11个月前   
    233    0

    计数器仿真实验内容及报告提交要求-2021

    实验目的:1、掌握8421BCD码计数器的工作原理,熟悉其工作波形。2、利用给定的计数器芯片实现任意进制计数器。

    11个月前   
    219    0

    音乐倒数计数器单片机课程设计

    音乐倒数计数器单片机课程设计摘 要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。而51单片机是各单片机中最为典型和最有代表性的一种。...

    2年前   
    562    0

    基于FPGA的计数器设计电子技术课程设计

    XX学院电子技术课程设计 题 目 _基于FPGA的计数器设计___ __________...

    1年前   
    342    0

    基于单片机的信号发生器设计

    随着电子测量技术与计算机技术的紧密结合,一种新的信号发生器-----波形发生器应运而生。所谓波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器...

    5年前   
    1123    0

    文档贡献者

    文***享

    贡献于2023-09-15

    下载需要 2 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档